Future Mediatek chips would be formidable thanks to this common point with Apple


Taiwanese MediaTek, Qualcomm’s competitor in the market for mobile processors intended for smartphones and tablets, has confirmed that its next generation of SoC will indeed be engraved by TSMC in 3 nm. A point in common with Apple’s next chips, which should allow MediaTek to offer a nice increase in performance to its partners.

By adopting 3 nm, MediaTek could hold its own against its competitor Qualcomm // Source: MediaTek

After two years of operation of the node 4 nm from TSMC, MediaTek announced that its next generation of SoC would be engraved in 3 nm. At this stage, this is the most advanced engraving process currently offered by the Taiwanese founder, a compatriot of MediaTek. Through this increased engraving finesse, MediaTek should be able to significantly improve the performance of its future mobile processors.

This announcement also comes as MediaTek is doing its best to cut corners from Californian Qualcomm, its direct competitor, particularly through its Dimensity range, which has been significantly improved in recent years. Already last year, the Dimensity 9200 managed to effectively compete with the best chips from the American giant, such as the Snapdragon 8 Gen 2. A move upmarket that MediaTek wishes to perpetuate.

MediaTek’s goal? No longer have anything to envy to Qualcomm

According to MediaTek, this transition to 3nm should allow an 18% increase in performance on its next generation of SoC, and at the same level of energy consumption as models using the old 5nm process. By using a different configuration, 3 nm can also reduce energy consumption by 32% compared to 5 nm… but this is clearly not what MediaTek has favored.

What we remember above all is that the firm would have succeeded in negotiating a big deal with TSMC: having access to 3nm before Qualcomm. The brand explains that its chips should gradually move to 3 nm from the second half of 2024. Qualcomm, for its part, should not be able to take advantage of it before the Snapdragon 8 Gen 4… which will equip high-end smartphones. of 2025. In other words, MediaTek would be a few months ahead of its rival.

That being said, and as rightly pointed out Android Central, neither MediaTek nor Qualcomm will be the first on the market to actively exploit 3nm engraving. The first will once again be Apple, TSMC’s main customer. The brand must indeed announce its new A17 Bionic chips on September 12. These will likely be engraved in 3nm and will equip the iPhone 15 Pro.


Want to join a community of enthusiasts? Our Discord welcomes you, it’s a place of mutual aid and passion around tech.



Source link -102