Intel promises one trillion transistors on a chip by 2030


Nerces

Hardware and Gaming Specialist

December 05, 2022 at 09:30 am

13

Intel transistor CPU © Intel

© Intel

Yes, you read correctly, a “trillion” and not the American billion, but the French, a thousand billion.

In Intel’s communication strategy, there are announcements concerning the present and others rather fixed on the long term. This “trillion of transistors” by 2030 logically belongs to the second category. Explanations.

75 years of transistors

At the International Electron Devices Meeting 2022, which takes place from December 3 to 7 in San Francisco, Intel celebrated 75 years of the transistor. An anniversary that the company wanted to place under the sign of innovation.

Intel IEDM 2022 © Videocardz

© Videocardz/Intel

For quite some time now, there hasn’t been much talk in the industry of following Moore’s Law. Named in reference to Gordon Moore, it dates from 1965 and refers to the continued doubling of the complexity of semiconductors each year, at constant cost.

In the press release it publishes today, however, the company emphasizes ” research breakthroughs that fuel its innovation pipeline to keep Moore’s Law on track for a trillion-transistor solution in the next decade “.

Intel researchers are working on the technology known as 3D packaging “allowing” a further 10x improvement in density “. There is also research in ” new materials for scaling 2D transistors beyond RibbonFET ” and ” new possibilities in energy efficiency and memory “.

© Videocardz/Intel

All-round innovations

Rather than paraphrase the very technical continuation of the press release published by Intel, we invite you to read it, but do not guarantee the accuracy of the words or the translation.

The researchers of Components Research Group identified new materials and processes that blur the line between packaging and silicon, revealing crucial next steps in 3D packaging on the path to extending Moore’s Law to one trillion transistors on one solution, achieving density additional 10x interconnect leading to near-monolithic chips.

Intel’s materials innovations have also helped identify practical design choices that can meet transistor scaling requirements using a new material just 3 atoms thick, allowing company to continue scaling beyond RibbonFET.

Intel IEDM 2022 © Videocardz
Intel IEDM 2022 © Videocardz

© Videocardz/Intel

Intel presents near-monolithic chips for the next generation of 3D packaging:

  • Intel’s latest research on the bondage hybrids presented at IEDM 2022 show an additional 10x improvement in density for power and performance compared to Intel’s research presentation at IEDM 2021.
  • The continued scaling up of the bondage 3 µm pitch hybrid connector achieves interconnect densities and bandwidths similar to those found on monolithic “system-on-chip” connections.
Intel IEDM 2022 © Videocardz

© Videocardz/Intel

The manufacturer is turning to ultra-thin “2D” materials to fit more transistors on a single chip:

  • Intel has demonstrated that it is possible to fabricate stacked nanosheets with an all-around gate using a 2D channel material just 3 atoms thick as a Si replacement, while achieving near-ideal transistor switching at room temperature with low leakage current. These are two key breakthroughs for stacking GAA transistors.
  • The researchers also unveiled the first comprehensive analysis of electrical contact topologies with 2D materials, which could pave the way for high-performance and scalable transistor channels.
Intel IEDM 2022 © Videocardz
Intel IEDM 2022 © Videocardz

© Videocardz/Intel

Intel brings new possibilities in energy efficiency and memory for more efficient computing:

  • To use chip area more efficiently, Intel is redefining scaling by developing memory that can be placed vertically above transistors. For the first time in the world, Intel is demonstrating stacked ferroelectric capacitors that are equivalent in performance to conventional sliced ​​ferroelectric groove capacitors and can be used to build FeRAM on a logic chip.
  • Industry-first device-level model captures mixed phases and defects to improve ferroelectric devices at hafnia, marking significant progress for Intel in supporting industrial tools to develop new memories and ferroelectric transistors .
  • By bringing the world closer to transitioning beyond 5G and solving power efficiency issues, Intel is building a viable path to 300mm GaN-on-Silicon wafers. Intel’s breakthroughs in this area represent a 20x gain over the GaN industry standard and set an industry record, a figure of merit for delivering high-performance power.
  • Intel is making breakthroughs in energy-efficient technologies, including transistors that don’t forget and retain data even when power is off. Intel researchers have already cleared two of the three hurdles that prevent this technology from being fully viable and operational at room temperature.
Intel IEDM 2022 © Videocardz

© Videocardz/Intel

The company continues to introduce new concepts to the field of physics by achieving breakthroughs in delivering better qubits for quantum computing:

  • Intel researchers are working to find better ways to store quantum information by gaining a better understanding of various interface defects that could act as environmental disturbances affecting quantum data.

Source: press release



Source link -99